CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - UART VERILOG TESTBENCH

搜索资源列表

  1. UART

    2下载:
  2. 内含有完整的UART代码,包括发送和接受,且有testbench,可以直接仿真调试-Contain complete UART code, including send and receive and there testbench, can directly Simulation debugging
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:9094
    • 提供者:李佳
  1. UARTtransmitter

    0下载:
  2. UART Transmitter. VHDL code and its testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2475
    • 提供者:mehmet
  1. uart2bus_latest.tar

    0下载:
  2. 文档详尽、已验证的UART工程,含有testbench文件。采用VHDL、Verilog语言编写。-Detailed documentation, has proven UART works with testbench file. Using VHDL, Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:224229
    • 提供者:robin
  1. UART

    1下载:
  2. UART发送verilog源码,波特率115200,以及testbench源码-Send verilog source UART baud rate 115200, and testbench source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:67892
    • 提供者:宁馫圈
  1. verilog

    0下载:
  2. 这是一个uart串口实现16550的实现,代码已测试过了。-This is a 16550 uart serial port, the code has been tested before.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:35998
    • 提供者:wangli
  1. uart

    0下载:
  2. uart veilog源码 含有testbench-uart verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:2309
    • 提供者:王维
  1. uart

    0下载:
  2. verilog实现UART收发源码 内有testbench-the UART transceiver Source for verilog implementation With testbench
  3. 所属分类:MPI

    • 发布日期:2017-11-22
    • 文件大小:3398
    • 提供者:王军
  1. Uart-Verilog

    1下载:
  2. verilog实现串口通讯,包括verilog代码和testbench代码-verilog serial communication, including the verilog code and testbench Code
  3. 所属分类:Com Port

    • 发布日期:2017-05-03
    • 文件大小:791940
    • 提供者:代工
  1. UART-master

    0下载:
  2. UART通讯接口verilog代码实现,uart_tx子模块和uart_rx子模块,包含详细testbench-UART interface verilog code, uart_tx、uart_rx, testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:197118
    • 提供者:lv
  1. uart

    0下载:
  2. 用Verilog实现FPGA的uart的串行通信功能,并附有testbench(The serial communication function of FPGA of UART is realized with Verilog, and Testbench is attached)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:308224
    • 提供者:怪了个乖
  1. uart_rx

    1下载:
  2. Verilog实现的RS232发送和接收程序,有完成的verilog代码,testbench等。(UART send and receive verilog code, including verilog source code, testbench etc.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-07-27
    • 文件大小:452608
    • 提供者:66778899
  1. 通用异步收发器

    1下载:
  2. 用Verilog编写的uart通用异步收发器带testbench
  3. 所属分类:VHDL编程

搜珍网 www.dssz.com